3461bs-1数码管网!

3461bs-1数码管网

趋势迷

3461bs-1数码管

2024-07-23 14:31:35 来源:网络

3461bs-1数码管

LD3461BS数码管的原理图,给出管脚怎么接的,谢谢 -
从左下角逆时针分别是e. d. dp. c. g. 1. b. 2. 3. f. a. 4。一共12个引脚,4321引脚控制从左往右四个数码管,其他八个接输入(dp是那个点的引脚)
我使用的是LD-3461BS 共阳四位数码管,不过程序中只是用了两位,就是你要的 0~60 具体程序如下,而且还标有注释,若满意请记得采纳,谢谢!***/ include<reg51.h> sbit As=P1^3; sbit Bs=P1^2;//数码管位选端口char table[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0到此结束了?。

3461bs-1数码管