欢迎来到知识库小白到大牛的进阶之路

当前位置 > ATO曲线设计仿真实验ato曲线设计仿真实验报告总结

  • 求教高手!OTDR测试曲线的matlab仿真程序

    求教高手!OTDR测试曲线的matlab仿真程序

    你是想对OTDR的测试数据进行修改么、 OTDR的测试报告好像不能修改。

    2024-08-18 网络 更多内容 769 ℃ 963
  • 模电仿真实验Au怎么测?

    模电仿真实验Au怎么测?

    这是一个模电实验,就是对反相加法运算电路、 减法运算理论值和实测值有误差的原因分析。 以下为在分析理论和实际误差中经常考虑到的运放参数: 1.温漂 2.输入偏置电压 3.增益带宽积 4.压摆率 5.摆幅 6.输入、输出阻抗 把它们的定义找到,结合你的实验就能分析出来了。

    2024-08-18 网络 更多内容 478 ℃ 891
  • 仿真实验

    仿真实验

    仿真实验是指视频,缺乏了真实实验的真实性,实践性,也看得不清楚,真实实验是亲身经历的实验,形象,深刻。 仿真实验虽然不够真实实验真实,但是避免了危险,专家做实验说得更明白,这是仿真实验的好处。 仿真实验与真实实验都有好有坏,联系是仿真实验与真实实验都有惊心动魄的实验...

    2024-08-18 网络 更多内容 657 ℃ 166
  • 无线通信信道仿真的实验

    无线通信信道仿真的实验

    好难啊 不懂

    2024-08-18 网络 更多内容 381 ℃ 325
  • 如何用OCC电路实现atspeed测试

    如何用OCC电路实现atspeed测试

    一般speed与at用ataspeedof&atfull/topspeed形式,前一个表示“以…的速度”,后面得接一个表速度大小的短语如“60kmanhour(六十公里/小时)”; 后一个则表示“全速地”,是副词。 与with时则用“withgreatspeed(快速地)”,也是副词。

    2024-08-18 网络 更多内容 649 ℃ 107
  • 用Optisystem进行OFDM仿真图

    用Optisystem进行OFDM仿真图

    用matlab写程序生成OFDM信号然后通过optisystem中的matlab单元导入

    2024-08-18 网络 更多内容 774 ℃ 393
  • 交通仿真实验报告

    交通仿真实验报告

    去百度文库,查看完整内容> 内容来自用户:leepaulgo 土木工程与力学学院交通运输工程系 实 验 报 告 课程名称:交通仿真实验实验名称:基于VISSIM的城市交通仿真实验专业:交通工程班级:1002班学号:U201014990 姓名:李波指导教师:刘有军实验时间:2013.09 2013.10 实验报告目录 实验...

    2024-08-18 网络 更多内容 267 ℃ 428
  • 如何用adams/insight做正交试验

    如何用adams/insight做正交试验

    当时我采用了用做机械设计的ANSYS软件来完成。该软件是美国航空航天局的设计软件。可以用做你的设计。不过你得查相关实验参数。用软... 输出位移,速度,加速度和反作用力曲线.ADAMS软件的仿真可用于预测机械系统的性能,运动范围,碰撞检测,峰值载荷以及计算有限元的输入载荷...

    2024-08-18 网络 更多内容 414 ℃ 947
  • 西安交通大学物理仿真实验怎么做

    西安交通大学物理仿真实验怎么做

    登西安交通大学物理实验室,里面有仿真实验且会指导你怎么做

    2024-08-18 网络 更多内容 326 ℃ 149
  • 要求在QUARTUSII上完成功能仿真波形,并在EDA实验箱上实现

    要求在QUARTUSII上完成功能仿真波形,并在EDA实验箱上实现

    library ieee; use ieee.std_logic_1164.all; entity decoder3_8 is port(a,b,c,e1,e2a,e2b:in std_logic; y:out std_logic_vector(7 downto 0)); end decoder 3_8; architecture decoder1 of decoder3_8 is signal indata:std_logic_vector(2 downto 0); begin indata<=c&b&a; process(indata,e...

    2024-08-18 网络 更多内容 258 ℃ 658
新的内容
标签列表